Mokhoa oa ho koahela I3 / i5 processor

Anonim

CPU e fetang.

Ho potlakisa ha processor ke ntho e bonolo, empa e hloka tsebo e itseng le tlhokomeliso. Mokhoa o nang le boiphihlelo oa mosebetsi oo o o lumella hore o atlehe tlhahiso e ntle ea lihlahisoa, eo ka linako tse ling e haelloang haholo. Maemong a mang, o ka hasana ha processor ka Bios, empa haeba monyetla ona o lahlehile kapa o batla ho etsa hore ho qhekella ka kotloloho ho latela software e khethehileng.

E 'ngoe ea mananeo a bonolo le a bokahohle ke a behiloeng. E hantle hobane ka thuso ea eona o ka fetisa processor ea Intel ea 2 Duo le mefuta e metle le eona, hammoho le li-pronderuri tse fapaneng tsa sejoale-joale. Molao-motheo oa ts'ebetso oa lenaneo lena o bonolo - ho eketsa khafetsa oa siti ea take, e amang chip ea pll e kentsoeng ka har'a bo-mme. Ka lebaka leo, ntho e 'ngoe le e' ngoe e hlokahalang ho uena ke ho tseba mofuta oa boto ea hau le ho hlahloba hore na e kena lenaneng la tšehetso.

Lekola tšehetso ea boardboard

Taba ea pele u hloka ho fumana lebitso la boardboard. Haeba u se na data e joalo, ebe u sebelisa software e khethehileng, mohlala, lenaneo la CPU-Z.

Kamora hore o tiisitse liboto, e-ea webosaeteng ea semmuso lenaneong la sethaba. Ngoliso moo, ho e kenya ka bonolo, eseng ho tsoa ka ho fetisisa, empa tlhaiso-leseling e hlokahalang e fihlile. Haeba boto e le lenaneng la tšehetso, u ka thabela ho tsoela pele ho tsoela pele.

Likarolo tsa 'mele

Phetolelo ea morao-rao ea lenaneo lena, ka bomalimabe, e lefuoa bakeng sa baahi ba buang Serussia. Hoa hlokahala ho etsa hoo e ka bang $ 6 ho fumana khoutu ea ts'ebetso.

Senotlolo sa tlholeho.

Ho na le se seng - ho jarolla mofuta oa khale oa lenaneo, kama hore u khothaletse mofuta oa 2.2.129.95. Sena se ka etsoa, ​​ka mohlala, mona.

Ho kenya lenaneo le ho itokisetsa ho feta

Lenaneo le sebetsa ntle le ho kenngoa. Kamora ho qala, fensetere ena e tla hlaha ka pel'a hau.

Fensetere e kholo e betlile

Ho qala ho akheha, o tlameha ho fumana pele ho jenereithara ea hau ea Clock (PLL). Ka bomalimabe, ho se bonolo ho tseba. Bahlahisi ba likhomphutha ba ka fetisetsa yuniti ea sistimi le ho fumana tlhaiso-leseling e hlokahalang. Lintlha tsena li shebahala tjena:

Mohlala-1 mohlala

Mohlala-2 mohlala

Mekhoa ea Ts'oaetso ea Software Pll Chip

Haeba u na le laptop kapa u sa batle ho hlakola PC, ke hore, mekhoa e meng e meng ea ho fumana pll ea hau.

1. Eya mona 'me u batle laptop ea hau tafoleng.

2. Lenaneo la lihlooho li tla thusa ho khetholla khefu ea pll ka boeona.

A re luleng ka mokhoa oa mokhoa oa bobeli. Fetohela ho " Tlhahlobo ea Tlhahlobo ", Lenaneng le lahlehileng" Jenereithara ea Clock. »Khetla" PLL Tlhahlobo ", Ebe tobetsa konopo ea" konopo " Fumana FSB.».

Litšoantšo tsa pll li-PLSB-1

Seaparo se haufi, lebaleng " Lipontšo tsa Lingoloa tsa PLL. "Mme re bona tafole moo. Re batla kholomo ea 07 (ena ke ID ea Vendor) 'me re sheba boleng ba mohala oa pele:

Ithute ID ea Vendrata ho Getfsb

• Haeba boleng bo lekana le eena - ka nako eo pll e tsoang United States, mohlala, RTM520-39D;

• Haeba boleng ke x1, PLL e tsoang ho IDT, mohlala, Is952703bf.

• Haeba boleng bo le X6, PLL e tsoa hoilego, mohlala, Slig505yc56dt;

• Haeba boleng bo lekana le X8, PLL e tsoang Labs, mohlala, Cybia4341oc-3.

x - palo efe kapa efe.

Ka linako tse ling mekhelo e khonahala, ka mohlala, bakeng sa li-chips tsa silicon labs - maemong ana, ID ea Vendor e sa fumanehe (07).

Hlahloba Ts'ireletso Khahlano le ho potlakisa ha lenaneo

Fumana hore na ho na le ts'ireletso ea lisebelisoa tsa thepa khahlanong le lenaneo, ka hona hoa khoneha:

Ithute TME ho Getfsb

• Re sheba Tšimong " Lipontšo tsa Lingoloa tsa PLL. »Ka kholomo ea 09 ebe o tobetsa boleng ba mola oa pele;

• Re sheba Tšimong " Bin. "Mme re fumana likhakanyo tsa botšelela ntlheng ena. Ka kopo elelloa hore palo ea palo e tlameha ho qala ka yuniti! Ka hona, haeba e le zero ea pele, ebe hanyane e tla ba nomoro ea bosupa;

• Haeba ho na le tse tšeletseng tsa botšelela ke 1 - Ebe bakeng sa tse ling tse koahetsoeng ke sethaleng

• Haeba sekhutlo sa botšelela se lekana 0 - ebe Mod oa Hardware ha o hlokahale.

Qala ho feta

Ho sebetsa ka kakaretso le lenaneo ho tla hlaha "tab" Taolo " Tšimong " Jenereithara ea Clock. »Khetha chip ea hau, ebe u tobetsa" Fumana FSB.».

Ka tlase ho fensetere, ka ho le letona, u tla bona khafetsa mokhoa oa mehleng oa boqapi.

Nako ea hajoale ea ho beha

Re u hopotsa, ho potlakisa ho etsoa ka ho eketsa khafetsa ea Tysen Ty. Sena se etsahala nako le nako ha o tsamaisa selikalikoe se bohareng ka ho le letona. Likarolo tsohle tse ling li tsamaea joalo ka ha se le joalo.

Haeba o hloka ho eketsa moeli oa phetoho, ebe o beha lebokose le haufi le paramente " Ultra».

Fetola mefuta e behiloeng ka setumo

Eketsa khafetsa e le hlokolosi ka ho fetisisa, 10-15 MHZ ka nako.

Ho eketseha ho hohola ha ho beha setulong

Kamora ho etsa liphetoho, tobetsa konopo ea "Setessb".

Ho boloka maqhubu a makae ho li-arfsb

Haeba kamora hore PC ea hau e behiloe kapa e tima, joale mabaka a mabeli: 1) O bontšitse poho e fosahetseng; 2) Ho eketsa khafetsa. Haeba tsohle li ne li etsoa ka nepo, khafetsa khafetsa e tla tsoha.

Ho Etsa'ng ka mor'a ho fetisoa?

Re hloka ho tseba hore na komporo e sebetsa joang khafetsa. Sena se ka etsoa, ​​mohlala, lipapaling kapa mananeo a khethehileng a liteko bakeng sa liteko (prime95 kapa tse ling). Hape latela mocheso, e le ho qoba ho lema ho feta ha processor e laetse. Ha a tšoana hantle le liteko, u tsamaise lenaneo la mocheso (CPU-Z, HWmonitor kapa tse ling). Liteko li molemo ho qeta metsotso e ka bang 10-15. Haeba tsohle li sebetsa hampe, joale u ka lula khafetsa kapa u tsoelepele ho e eketsa ka ho etsa lintho tsohle tse kaholimo ho selikalikoe se kaholimo.

U ka etsa joang hore PC e tsamaee ka maqhubu a malelele?

U se u ntse u tsebahala, lenaneo le sebetsa le nako e ncha pele u qala bocha. Ka hona, hore khomphutha e qalile ka khafetsa tsamaisong e ncha ea sirathe ea tsamaiso, o tlameha ho kenya lenaneo qalong. Sena ke selelekela haeba u batla ho thabela komporo e nchocho ho motheo o ntseng o tsoela pele. Leha ho le joalo, maemong ana, e ke ke ea ba ka mokhoa o bonolo o eketsa lenaneo la "foldareng ea" Auto-Slisoa ". Ho na le tsela ea sena - sebopuoa sa sengoloa sa bame.

Ea buloa Buka ", Moo re tla theha sengoloa. Re ngola moo khoele, hoo e ka bang:

C: \ desktop \ setsbb 2.2.129.95 \ setfsb.exe -w15 -s968 -SG9

Tlhokomeliso! Se ke oa kopitsa mohala ona! E lokela ho ba le e 'ngoe!

Kahoo, ra o hlakola:

C: \ Desktop \ setsbb 2.2.129.95 \ setfsb.exe ke tsela e isang ts'ebelisong ka boeona. O ka khetholla sebaka le mofuta oa lenaneo!

-W15 - Delay pele o qala lenaneo (e lekantsoe ka motsotsoana).

-S6688 - Boemo ba ho amohela. Nomoro ea hau e tla fapana! Ho mo hlokomela, sheba tšimo e tala e tabo ea lenaneo la taolo. Ho tla ba le linomoro tse peli ka slash. Nka nomoro ea pele.

-Kg [li-is9lpr310glfl] - mohlala oa poll ea hau. U kanna oa ba le data ena! Libakeng tsa lisekoere, o hloka ho kenya mohlala oa pi ea hau joalo ka ha e hlalositsoe ho tsethaleng.

Ka tsela, hammoho le sethala ka boyona u tla fumana faele ea mongolo oa sethala sa sethala, moo o ka fumanang litekanyetso tse ling 'me u li sebelise haeba ho hlokahala.

Kamora hore likhoele li bōpiloe, boloka faele joalo ka .bat.

Ho boloka bat e betfsb

Mohato oa ho qetela ke ho kenyelletsa banka ka autoload ka ho tsamaisa sekhutlo ho foldareng ea " Mojaro oa bese "Kapa ka tsela eo re ngoliloeng ka eona (ka tsela ena u fumana inthaneteng).

Bona le: Mananeo a mang a a potlakisang Procecorce

Sehloohong sena, re ile ra hlahloba lintlha tsa ho hasana hantle processor ka nepo sebelisa lenaneo la sethala. Ena ke ts'ebetso e bohloko, e tla fana ka keketseho e bonahalang ea ts'ebetso ea processor. Re ts'epa hore o tla sebetsa ka hloko, 'me haeba o na le lipotso, a ba botse litlhaloso, re tla li araba.

Bala Haholoanyane