Momwe mungapirire pachiwopsezo cha Intel I3 / I5 purosesa

Anonim

Kuchulukitsa CPU.

Kuthamangitsa kwa purosesa ndi chinthu chosavuta, koma pamafunika chidziwitso china komanso kusamala. Njira yofananira ku ntchitoyi imakulolani kukula kochuluka, komwe nthawi zina kumatha. Nthawi zina, mutha kubalanda purosesa kudzera mu bios, koma ngati mwayi ukusowa kapena mukufuna kuchita zachipongwe mwachindunji kuchokera pansi pa mawindo, ndibwino kugwiritsa ntchito pulogalamu yapadera.

Chimodzi mwa mapulogalamu osavuta komanso adziko lonse ndi mawonekedwe. Ndizabwino chifukwa ndi thandizo lake mutha kuthana ndi Intel couser 2 duo ndi zitsanzo zakale zofanana ndi izi, komanso mapulosezo osiyanasiyana. Mfundo yogwirira ntchito pulogalamuyi ndi yosavuta - imachulukitsa pafupipafupi dongosolo la makina, likukhudzanso Plp chipya chokhazikitsidwa pa bolodi la amayi. Chifukwa chake, chilichonse chomwe chikufunika kwa inu ndikudziwa mtundu wa bolodi yanu ndikuwona ngati ukulowa mndandanda wazothandizidwa.

Onani thandizo la bolodi

Choyamba muyenera kudziwa dzina la bolodi. Ngati mulibe data zotere, gwiritsani ntchito pulogalamu yapadera, pulogalamu ya CPU-Z.

Mukakhala kuti mwatsimikiza mabatani, pitani ku webusayiti yovomerezeka ya pulogalamu ya pulogalamu ya secfsb. Kulembetsa pamenepo, kuti ziuze Iwo modekha, osati kuchokera kwabwino kwambiri, koma zambiri zofunika zili pano. Ngati bolodi ili pamndandanda wothandizidwa, ndiye kuti mutha kupitilizabe mosangalala.

NKHANI ZA Thupi

Mamitundu waposachedwa a pulogalamuyi, mwatsoka, amalipira anthu aku Russia. Ndikofunikira kupanga pafupifupi $ 6 kuti mupeze nambala yoyambitsa.

Chinsinsi cha setfsb.

Pali njira ina - kutsitsa mtundu wakale wa pulogalamuyi, amalimbikitsa mtundu 2.2.129.95. Izi zitha kuchitika, mwachitsanzo, apa.

Kukhazikitsa pulogalamu ndikukonzekera kochulukitsa

Pulogalamuyi imagwira popanda kukhazikitsa. Pambuyo poyambira, zenera ili lidzawonekera pamaso panu.

Main All Setfsb.

Kuti muyambe kuzizira, muyenera kudziwa jenereta yanu (PLL). Tsoka ilo, sizosavuta kudziwa. Omwe amayendetsa makompyuta amatha kutulutsa dongosolo ndikupeza chidziwitso chofunikira pamanja. Izi zikuwoneka motere:

Pll-1 Model

Pll-2 Model

Njira Zakuzindikiritsa Mapulogalamu Pll Chip

Ngati muli ndi laputopu kapena simukufuna kusokoneza PC, ndiye kuti, njira zinanso ziwiri zodziwira pill yanu.

1. Pitani apa ndikuyang'ana laputopu yanu patebulo.

2. Pulogalamu ya ATFSB ithandiza kudziwa kuti Plmp Chikhungu chokha.

Tiyeni tisanthule njira yachiwiri. Sinthani ku " Kufufuza ", Mndandanda wotsika" Wojambula wa chilonda. »Sankhani" Plll matenda ", Ndiye dinani pa" batani " Pezani FSB.».

Ma Distrastics Plfs inffsb-1

Pita pansipa, m'munda " Maboma a Pll. "Ndipo tikuwona tebulo pamenepo. Tikuyang'ana mzati 07 (uku ndi ID ya Vendor) ndikuyang'ana mtengo wa mzere woyamba:

Phunzirani ID ya Vendor mu Getfsb

• Ngati mtengo wake ukufanana ndi iye - ndiye kuti Pll kuchokera ku Weltek, mwachitsanzo, RTM520-39D;

• Ngati mtengo ndi x1, ndi PLL ku IDT Mwachitsanzo, ICS952703BF;

• Ngati mtengo ndi x6, ndi PLL ku Silego Mwachitsanzo, SLG505YC56DT;

• Ngati mtengo wofanana X8, ndi PLL ku pakachitsulo Labs Mwachitsanzo, CY28341OC-3.

× - chiwerengero.

Nthawi zina kuchotserapo zitheka Mwachitsanzo, chifukwa tchipisi ku pakachitsulo Labs - mu nkhani iyi, VENDOR ID adzakhala ili si mu Pate chiwiri (07), koma ndi chimodzi (06).

Chongani chitetezo pulogalamu mathamangitsidwe

Kupeza ngati pali chitetezo hardware ndi pulogalamu mathamangitsidwe, kotero zotheka:

Phunzirani TME mu GetFSB

• Ife tikuyang'ana mu munda " Pll Control akaundula. »Pa ndime 09 ndi kumadula pa mtengo wa mzere woyamba;

• Ife tikuyang'ana mu munda " Nkhokwe. "Ndipo ife tikupeza Akamva chimodzi pankhaniyi. Chonde dziwani kuti achiyesa chizengerezo ayenera kuyamba ndi wagawo ndi! Choncho, ngati pokha woyamba ndi ziro, ndiye pokha chimodzi adzakhala chiwiri manambala;

• ngati pokha chimodzi ndi 1 - ndiye kuti overclocking kudzera SETFSB, muyenera kukhala PLL hardware mode (TME-yamakono);

• Ngati pang'ono chimodzi liri 0 - ndiye hardware yamakono si chofunika.

Start overclocking

ntchito yonse ndi pulogalamu kudzachitika mu "tsamba" Control " M'munda " Clock jenereta. »Sankhani Chip anu, ndiyeno dinani" Pezani FSB.».

Pansi pa zenera, kumanja, mudzaona pafupipafupi panopa purosesa lapansi.

pafupipafupi Current mu SetFSB

Ife mukumbuke, mathamangitsidwe ikuchitika ndi kuwonjezeka pafupipafupi tayala dongosolo. Izi zimachitika nthawi iliyonse inu kusuntha slider chapakati kumanja. posts ena onse kusiya monga ali.

Ngati mukufuna kuonjezera osiyanasiyana kuti asinthe, ndiye anapereka checkbox pafupi ndi chizindikiro cha " Chotambala».

Kusintha osiyanasiyana mu setfsb

Kuonjezera pafupipafupi ndi bwino kusamala, 10-15 MHz panthawi.

Kuonjezera pafupipafupi mu SetFSB

Pambuyo kusintha, tilimbikire "SETFSB" chinsinsi.

Akupulumutsa pafupipafupi latsopano SetFSB

Ngati pambuyo PC wanu anapachika kapena mwadzidzidzi, ndiye zifukwa zimene awiri: 1) inu anatchula PLL cholakwika; 2) chinawonjezeka pafupipafupi. Chabwino, ngati zonse zikuchitika molondola, ndi purosesa pafupipafupi adzauka.

Kodi kuchita pambuyo overclocking?

Tiyenera kudziwa mmene khola kompyuta amagwira ntchito pafupipafupi latsopano. Izi zikhoza kuchitika Mwachitsanzo, masewera kapena mapulogalamu apadera mayesero (PRIME95 kapena zina). Komanso kutsatira kutentha, pofuna kupewa zotheka kutenthedwa purosesa ndi yodzaza. Limodzi ndi mayesero, kuthamanga pulogalamu kutentha polojekiti (CPU-Z, HWMONITOR kapena zina). Kuyezetsa magazi ndi chabwino kwambiri chimene mungachite mphindi 10-15. Ngati chirichonse ntchito stably, ndiye inu mukhoza kukhala pa pafupipafupi latsopano kapena kupitiriza kuwonjezera izo mwa kuchita chilichonse pamwamba pa bwalo latsopano.

Kodi kupanga PC kuthamanga ndi pafupipafupi latsopano?

Muyenera kudziwika kale, pulogalamuyi imagwira ntchito ndi pafupipafupi musanayambenso kuyambiranso. Chifukwa chake, kuti kompyuta imayamba ndi makina atsopano a state, muyenera kukhazikitsa pulogalamu yoyambira. Ichi ndi chofunika ngati tikufuna kudzasangalala ndi kompyuta overclocked pa maziko mosalekeza. Komabe, mu nkhani iyi, izo sizidzakhala za yosavuta kuwonjezera Pulogalamu ya "Auto-potsegula" mufoda. Pali njira imeneyi - chilengedwe cha script mleme.

Otsegulira Kope ", Komwe tipanga script. Timalemba pamenepo chingwe, pafupifupi:

C: \ kompyuta \ setfsb 2.2.129.95 \ setfsb.exe -w15 -S668 -CG [ICS9LPR310BGLF]

Tcheru! Osatengera mzerewu! Iyenera kukhala ndi ina!

Chifukwa chake, timasungulumwa:

C: \ desktop \ setfsb 2.2.129.95 \ setfsb.exe ndiye njira yothandizirani. Mutha kusiyanitsa malo ndi mtundu wa pulogalamuyi!

-W15 - kuchedwa musanayambe pulogalamuyi (kuyeza masekondi).

-S688 - Kuvomerezedwa Kukhazikika. Manambala anu adzakhala osiyana! Kuti mumudziwe, yang'anani pamunda wobiriwira mu pulogalamu yoyang'anira. Padzakhala manambala awiri kudzera mu slash. Tengani nambala yoyamba.

-CCG [c9LP310BGLL] - mtundu wa pll. Mungathe kukhala deta izi! M'mabokosi lalikulu, muyenera kulowa chitsanzo cha pll wanu monga zafotokozeredwa SetFSB lapansi.

Mwa njira, limodzi ndi makonda omwe mumapeza fayilo ya setfsb.txt, komwe mungapeze magawo ena ndikuwagwiritsa ntchito ngati pakufunika.

Pambuyo chingwe lisanalengedwe, kupulumutsa file monga .Bat.

Kupulumutsa mleme mu SetFSB

Gawo lomaliza ndikuwonjezera bata mu Autoload poyenda njira yachidule ku chikwatu " Katundu wa basi "Kapena kudzera m'lingaliro la registry (mwanjira iyi mumapeza pa intaneti).

Onaninso: mapulogalamu ena othamanga

M'nkhani ino takambirana mwatsatanetsatane mmene bwino omwazika ndi purosesa ntchito pulogalamu SETFSB. Uku ndi njira yopweteka kwambiri, yomwe pambuyo pake imawonjezera kuwonjezeka kwa purosesa. Tikukhulupirira kuti mudzalimbikira, ndipo ngati muli ndi mafunso, funsani m'mawuwo, tidzayankha.

Werengani zambiri